Parasitic effects of air-gap through-silicon vias in high-speed three-dimensional integrated circuits
Liu Xiaoxian, Zhu Zhangming†, , Yang Yintang, Ding Ruixue, Li Yuejin
School of Microelectronics, Xidian University, Xi’an 710071, China

 

† Corresponding author. E-mail: zmyh@263.net

Project supported by the National Basic Research Program of China (Grant No. 2014CB339900) and the National Natural Science Foundation of China (Grant Nos. 61376039, 61334003, 61574104, and 61474088).

Abstract
Abstract

In this paper, ground-signal-ground type through-silicon vias (TSVs) exploiting air gaps as insulation layers are designed, analyzed and simulated for applications in millimeter wave. The compact wideband equivalent-circuit model and passive elements (RLGC) parameters based on the physical parameters are presented with the frequency up to 100 GHz. The parasitic capacitance of TSVs can be approximated as the dielectric capacitance of air gaps when the thickness of air gaps is greater than 0.75 μm. Therefore, the applied voltage of TSVs only needs to achieve the flatband voltage, and there is no need to indicate the threshold voltage. This is due to the small permittivity of air gaps. The proposed model shows good agreement with the simulation results of ADS and Ansoft’s HFSS over a wide frequency range.

1. Introduction

Physical constraints and technological challenges beyond 22-nm node now set back the further development of Moore’s Law of scaling the feature size of transistor.[17] The interconnect performance becomes a key challenge to achieving the overall performance of a chip. Compared with conventional circuits, through-silicon vias (TSVs) for vertical interconnection are able to achieve shorter wiring paths, higher interconnect densities, and smaller foot prints. Therefore, the technology of three-dimensional integrated circuits (3D ICs) has the potential to significantly improve the system functionality and performance.[17]

With the rapid development of silicon technologies, the operating frequency of 3D ICs has been progressively expanded into millimeter-wave (mmW) and terahertz (0.1–10 THz) regions.[8] The heterogeneous integration and high operating speed make the signal integrity (SI) and the coupling noise induced by massive TSVs be major concerns for 3D IC systems.[5] This is especially true for high frequency bands, in which the parasitic parameters of the TSV play more important roles in circuits. Therefore, the accurate extraction of parasitic effects of TSVs is vital for the design and assessment of 3D systems.[2,5,6] The parasitic effects of SiO2-based TSVs in high-frequency 3D IC systems are put forward. The passive elements (RLGC) of TSV have been proposed as functions of the physical parameters and material characteristics, while the TSV is in GS-mode.[914] Up to now, few published works on TSV technology have been focused on the TSV transmission structure that is in GSG-mode.[1519] Coplanar waveguide (CPW) passive elements in the GSG-mode are important technologies to realize low-cost millimeter-wave ICs,[20,21] which can also be applied to high-frequency 3D ICs. Therefore, the three-TSV system is needed to interconnect CPW on different 3D IC layers.[15] What is more, the S-parameters of GSG-mode TSVs show better characters than those of GS-mode TSVs, especially in the millimeter frequency range.[16] It is obvious that the S11 can be reduced remarkably by using GSG-type TSVs, due to the better shielding effects of the two ground TSVs around the signal TSV. Therefore, GSG-type TSVs for microwave applications are analyzed in this paper. The S-parameters of the GSG-type TSVs are measured, but the exact parasitic effects of TSVs are not abstracted. In Ref. [17], we have investigated the parasitic effects and schematic equivalent electrical model of the GSG-mode TSV pair. However, the simplified T- or π-model of the GS- or GSG-model TSVs are needed during the design of 3D IC systems and the equivalent electrical model in Ref. [17] is difficult to reduce into a T- or π-model. Therefore, we further study it and come up with another schematic equivalent electrical model, which can be simplified into a π-model in this paper.

The schematic equivalent electrical model and simplified π-model of the GSG-mode TSV pair are established for microwave 3D ICs with operating frequency up to 10 GHz, which is based on the design physical parameters and the operating frequency in this paper. The extractions of different parasitic effects of air-gap TSVs are introduced in Section 2. Due to the low dielectric constant of air gaps, the parasitic capacitance of the air-gap TSV shows different characteristics from the conventional SiO2-based TSV structure. In Section 3, an equivalent electrical model and lumped RLGC parameters of the GSG-type TSV pair are given, respectively. These are verified by the simulations including ADS and Ansoft’s HFSS, which show good agreement and verify our analysis.

2. Parasitic effects of air-gap TSVs

The structure of GSG-type TSVs is shown in Fig. 1, including a signal TSV and two ground TSVs surrounded by air gaps. The equivalent circuit model using RLCG components is developed based on the geometric and material information up to 100 GHz. Since the length of TSV is short enough when compared with the wavelength of operating frequency, the lumped model is used. Parasitic effects of the inter-metal-dielectric (IMD) layer and the bottom oxide layer are also taken into account. Design parameters of TSV are listed in Table 1. The parasitic effects of TSVs, such as resistance, capacitance, and inductance, all function with materials and the geometry design. These are vital parameters assessing the performance of TSVs and 3D integration. Among these characteristics, the capacitance, determined by the dielectric constants of the insulation layer, has the most predominant influence. All propagation delay, power consumption, and crosstalk increase almost proportionally to the TSV capacitance. Low capacitance, using materials with low dielectric constants as insulation layers, is of great importance for high-performance of 3D systems.[1922] Air (ɛr = 1 at T = 273 K)[19,20] and benzocyclobutene (BCB) polymer (ɛr = 2.6)[21] are used as insulation layers instead of the conventional silicon dioxide (SiO2) (ɛr = 4). The integration of low-κ (2.8) liner reduces the TSV capacitance by 27.6%.[22] In this paper, we use the air as insulator layers of TSVs, and the oxide silicon and Cu are selected as the insulation and redistribution layers, respectively.

Table 1.

Design parameters of the TSV.

.
Fig. 1. Cross-sectional view of the GSG-type TSV structure with structural parameters.
2.1. The resistance of TSV plug

Since the resistance of TSVs represents a parasitic contribution to the signal delay in ICs, it is necessary to extract the resistance of the TSV metal plug. The analytical expression of the dc resistance RTSV_dc is given by[9]

However, as the operating frequency goes up, the skin and proximity effects emerge, which cannot be ignored in the calculation of the TSV resistance. Therefore, the resistance of TSV, RTSV, is given by[14]

where RTSV_ac is the ac resistance of the TSV, given by

where kp = 1.5 is a correction factor determined by the proximity effect and the ratio pTSV/2rTSV;[14,23] δ is the skin depth and is calculated by

where ρTSV and μrTSV are the resistivity and relative permeability of the Cu plug, respectively, and μ0 = 4π × 10−7 H/m is the permeability of free space.

2.2. The inductance of TSVs

As the operating frequency increases, the influence of parasitic inductance becomes more and more remarkable. For the GSG-type TSV pair, the current flowing through the middle signal TSV separates into two ground TSVs. This forms two current loops among the three TSVs. Therefore, the current of the ground TSV is half of the signal TSV with an inverted phase. The concept of partial inductance is explored in this paper to model the inductive effect of TSVs in highspeed circuits.[24] As the current tends to move toward the surface of TSVs with increased frequency, the internal inductance goes to zero and is ignored in our calculations. Partial inductances, including self- and mutualinductances, are decided by TSV design parameters such as TSV pillar diameter, length, and pitch between two TSV pillars as[24]

where Lself is the self-inductance; M1 is the mutual inductance between signal TSV and ground TSV; M2 is the mutual inductance between the two ground TSVs; a = μ0μr,TSVhTSV/2π; b = hTSV/rTSV; c = hTSV/(rTSV + pTSV). The loop inductance of GSG-type TSVs with frequency up to gigscale[25] can then be acquired as

The inductance of every single TSV, LTSV,signal and LTSV,ground can be expressed as

2.3. The capacitance of TSVs

A TSV assumes a metal–insulator-semiconductor (MIS) capacitance structure. The copper TSV is surrounded by a dielectric layer for dc isolation (air gaps in this paper). This isolation dielectric is surrounded by a depletion region when the TSV gate bias increases, as shown in Fig. 2. Parasitic capacitance of the TSV is investigated by the following analysis.

Fig. 2. Cross section view of a single TSV.

The capacitance of TSV, CTSV is the series combination of the dielectric capacitance Cdie and depletion capacitance Cdep. Analytical expression for CTSV can be obtained by solving Poisson’s equation in a cylindrical coordinate system. The MIS capacitance of TSV is in the accumulation region when VTSV < VFB, where VFB denotes the flat-band voltage. The capacitance in this case is the cylindrical dielectric capacitance given by[6]

where ɛr,die and hdie are the relative permittivity and the height of the dielectric layer, respectively. As the TSV gate bias increases into the range of VFBVTSVVTh, the depletion region emerges, where VTh is the threshold voltage. At high frequency and with a fast sweeping ramp in the direction toward strong inversion, the semiconductor does not have enough time to come to equilibrium even with the large-signal variation.[26] Thus the depletion width does not increase beyond tmax, and the minimum depletion capacitance Cdep can be obtained by the maximum radius of depletion region as

The total minimal capacitance of TSV CTSV is the series combination of dielectric and depletion capacitance, which is given by

Figure 3 contrasts per-unit-length of dielectric capacitance, Cdie, as a function of the thickness of dielectric layer. In order to better understand the advantage of air-gap structure TSV, values of Cdie using SiO2 as the dielectric layer are also shown in Fig. 3. We find that Cdie of the air dielectric layer is much smaller compared with the one of SiO2, especially when the thickness of the dielectric tdie is in the small range. It is due to that the dielectric constant of air is much smaller than that of SiO2.

Fig. 3. Per-unit-length of CTSV as a function of the thickness of the dielectric layer tdie of air-gap and SiO2-based TSV.

The total capacitance of air-gap structure TSV, CTSV as a function of the applied voltage VTSV is shown in Fig. 4(a). In order to have a better understanding of the merits of air-gap TSV, CTSV of SiO2-based TSV is also shown in Fig. 4(b) for comparison. Since the dielectric layer of SiO2 is about 0.1–0.2 μm in the state-of-the-art TSV technology, we assume tSiO2 = tair = 1 μm for comparison. It is shown that the capacitance of air-gap TSV is much less than that of SiO2-based TSV, which shows a 71% reduction when VTSV achieves VTH. Further, the CTSV of air-gap TSV nearly equals to the dielectric layer capacitance Cair, which shows only 5% difference. This is due to the fact that Cair is much lower than the depletion layer capacitance Cdep; CTSV is the series combination of Cair and Cdep. Therefore, CTSV can be approximated to Cair when the applied voltage to the air-gap TSV reaches flatband voltage VFB. There is no need to specify the threshold voltage VTh and depletion capacitance in a certain error range. These phenomena are studied by further quantitative analysis.

Fig. 4. Per-unit-length of CTSV as a function of the applied voltage VTSV for TSV: (a) air-gap TSV. (b) SiO2-based TSV.

In our research, the difference of CTSV and Cdie is defined by Δ and given by

From Fig. 2, we know that rdie = rTSV + tdie, rdep = rTSV + tdie + tmax, then Cdie and Cdepmin are calculated as

Submitting Eqs. (15) and (16) into Eq. (14), we can obtain

from which, we can find that Δ is the function of the dielectric layer thickness tdie, the radius of TSVs rTSV, and the thickness of depletion region tdep. Values of Δ as a function of dielectric layer thickness at different radii of TSVs and depletion region thicknesses are shown in Fig. 5. To obtain a better understanding of the advantage of air-gap structure TSVs, Δ of SiO2 based TSV is also shown in Fig. 5. We find that Δ rapidly drops with the increase of tdie due to that Cdie goes up and Cdep goes down as the increase of tdie both for air-gap and SiO2 structure TSVs, and Δ becomes small enough and can be ignored when tdie increases into a certain range. In addition, the influence of tdep changes on Δ becomes significant when tdie is almost equal to tdep and Δ also increases with the increase of tdep. What is more, the variation of rTSV has very finite influnece on Δ, which can be ignored.

Fig. 5. Values of Δ as a function of dielectric layer thickness at different radii of TSV and different thicknesses of depletion regions.

Assume that the maximum value of Δ is 5% in this paper. For the air-gap structure TSV with rTSV = 5 μm, Δ equals to 5% when the thickness of the air gap achieves 0.75 μm and continues to reduce as tair increases. This also means that we can simplify the CTSV of air-gap structure TSV to Cair as long as the thickness of air gap is greater than 0.75 μm and the applied voltage VTSV achieves VFB. There is no need to distinguish VTh. However, for SiO2 structure TSV, Δ reduces to 5% when the thickness of SiO2 is 2.65 μm due to the large permittivity of SiO2. While tSiO2 is about 0.1 μm at the state-of-the art TSV technology, the difference of CTSV and CSiO2 is 63%. This also means that it is necessary to calculate both VTh and VFB to determine the total capacitance for SiO2 structure TSV.

2.4. The capacitance and conductance of the silicon substrate

The capacitance and conductance of the silicon substrate between TSVs, CSi and GSi are respectively modeled as[27]

where tan δc,Si = 0.004 is the loss tangent used to characterize the loss in dielectrics. The minimum GSi equals to 6.3545×10−4 with f = 0, and the maximum GSi is 6.5225 × 10−4 when the frequency is up to 100 GHz. There is only a 2.6% increase with the frequency increasing from zero to 100 GHz, which is due to ω tan δc,SiCSi being much smaller than CSiσSi/ɛSi. Therefore, the effect of operating frequency on the conductivity of silicon substrate can be ignored, and equation (19) can by simplified to

There are other parasitic capacitances which are formed between TSVs and the silicon substrate in the IMD and bottom oxide layer, CIMD and Cox. They are given respectively as[14]

3. RLGC parameters

The schematic equivalent electrical model of GSG-type TSVs can reduce to a simplified π-type transmission-line model, as shown in Fig. 6, which is simulated by advanced digital system (ADS) software. The RLGC parameters of the π-type model in this paper are given by

where m, n, p, and q are given by

Ansoft’s HFSS is employed as a 3D EM field solver, which is based on the finite element method (FEM).[28] The lumped components of RLGC in HFSS can be obtained by

where ω = 2πf is the angular frequency; Z0 is the port impedance assumed to be 50 Ω.

Fig. 6. Schematic equivalent electrical model and the π-type model of GSG TSV pair with air gaps as insulator layers.

The S11 and S21 of GSG-type TSV using air gaps as the dielectric layer are shown in Fig. 7. The solid lines are S11 and S21 of schematic equivalent electrical model obtained by ADS simulation. The scatter lines are S11 and S21 of the 3D model obtained by HFSS simulation. The solid lines accord well with the scatter ones, which verify the accuracy of our proposed schematic equivalent electrical model of GSG-type TSV. Per-unit-length of RLGC parameters of the proposed model and HFSS simulation results as a function of frequency are shown in Fig. 8. Curves of the proposed model coincide well with the HFSS simulation. The error between these is less than 5% with the operating frequency up to 100 GHz.

Fig. 7. S11 and S21 of GSG-type TSV.
Fig. 8. The per-unit-length of RLGC parameters of GSG-type TSV: (a) Ru and Cu; (b) Gu and Lu.
4. Conclusion

Compared with SiO2, air gaps were chosen as insulator layers of TSVs due to the low permittivity in this paper. Since the electrical characteristics of GSG-type TSVs used in highspeed circuits are still obscure, the investigation of GSG-type TSVs surrounded by air gaps are performed. Precise electrical models of parasitic effects are given in this paper. The parasitic capacitance of TSV can be approximated as the dielectric capacitance of air gaps when the thickness of air gaps is greater than 0.75 μm and the applied voltage to the TSV achieves flatband voltage. There is no need to indicate the threshold voltage. The per-unit-length of RLGC parameters of the GSG-type TSV is modeled and verified by the measurements and simulation of Ansoft’s HFSS and ADS in a wideband frequency range, which shows good accuracy of the proposed model.

Reference
1Dong GShi TZhao Y BYang Y T 2015 Chin. Phys. 24 056601
2Ma X KWang F QLiu W 2015 Chin. Phys. 24 118401
3Yang Y TWu W SDong G 2015 Acta Phys. Sin. 64 026601 (in Chinese)
4Wang Z HYu Y J 2015 Acta Phys. Sin. 64 238401 (in Chinese)
5Liu X XZhu Z MYang Y TWang F JDing R X 2014 Chin. Phys. 23 038401
6Qian L BZhu Z MXia Y SDing R XYang Y T 2014 Chin. Phys. 23 038402
7Wang H GBao B CChen M 2014 Chin. Phys. 23 087504
8Zhu Z MLiu S B 2012 Chin. Phys. 21 028401
9Katti GStucchi MMeyer K DDehaene W 2010 IEEE Trans. Electron Devices 57 256
10Liu E XLi E PEwe W BLee H MLim T GGao S 2011 IEEE Trans. Microw. Theory Technol. 59 1454
11Cheng T YWang C DChiou Y PWu T L 2012 IEEE Microw. Wireless Compon. Lett. 22 303
12Xu CLi HSuaya RBanerjee K 2010 IEEE Trans. Electron Devices 57 3405
13Ndip ICurran BLobbicke KGuttowski SReichl HLang K DHenke H 2011 IEEE Trans. Compon. Packaging Manuf. Technol. 1 181
14Kim JPark J SCho JSong ECho J HKim HSong TLee JLee HPark KYang SSuh M SByun K YKim J H 2011 IEEE Trans. Compon. Packaging Manuf. Technol. 1 181
15Kim HCho JKim MKim KLee JLee HPark KChoi KBae H CKim JKim J 2012 IEEE Trans. Compon. Packaging Manuf. Technol. 2 1672
16Liu X XZhu Z MYang Y TDing R X 2015 IEEE Microw. Wirel. Compon. Lett. 25 424
17Liu X XZhu Z MYang Y TDing R X 2015 IEEE Microw. Wirel. Compon. Lett. 25 493
18Ryu CChung DLee JLee KOh TKim J2005Proceedings of 14th Electronic Performance of Electronic PackagingTexas, AmericaOctober 24–26, 2005151
19Chen QHuang CWu DTan ZWang Z 2013 IEEE Trans. Electron Devices 60 1421
20Huang CChen QWang Z 2013 IEEE Electron Dev. Lett. 34 441
21Chen QHuang CTan ZWang Z 2013 IEEE Trans. Compon. Packaging Manuf. Technol. 3 724
22Zhang LLim DLi HGao STan C 2012 Jpn. J. Appl. Phys. 51 04DB03
23Johnson H2000High Speed Dignal System DesignNew YorkJohn Wiley & Sons
24Paul C R2010Inductance: Loop and PartialNew JerseyJohn Wiley & Sons
25Liang THall SHeck HBrist G2006Proceedings of Microwave Symposium DigestSan Francisco, AmericaJune 11–16, 20061780
26Sze S M2007Physics of Semiconductor DevicesNew YorkJohn Wiley & Sons
27Katti GStucchi MVelenis DSoree BMeyer K DDehaene W 2010 IEEE Electron Dev. Lett. 32 563
28Manual of ANSOFT HFSS v15 [Online], Available: http://www.ansoft.com/products/hf/hfss/
29Brocard MMaitre P LBermond CBar PAnciant RFarcy ALacrevaz TLeduc PCoudrain PHotellier NJamaa H BCheramy SSillon NMarin JFlechet B2012Proceedings of 62th Electronic Components and Technology Conference (ECTC)California, AmericaMay 29–June 1, 2012665